Category: Digital Electronics

What is Design for Debug (DFD)

Post-silicon debug (or post-silicon validation) is one of the important phase of the system design cycle. This is performed to capture the escaped design bugs from the pre-silicon verification phase. The major challenge associated with the post-silicon debug is the…